DissertationsEnLigne.com - Dissertations gratuites, mémoires, discours et notes de recherche
Recherche

Cours Vhdl

Compte Rendu : Cours Vhdl. Rechercher de 53 000+ Dissertation Gratuites et Mémoires
Page 1 sur 3

ent cost Low power Short time to market Low cost in high volumes Reconfigurability

Formation VHDL

Introduction

Vahid MEGHDADI

6

Evolution : Méthodes de CAO

Conception physique (layout) Conception structurelle TTL Conception logique

Conception fonctionnelle RTL Programmation Verilog/VHDL Synthèse comportemental

Formation VHDL

Introduction

Vahid MEGHDADI

7

Démonstrateurs Circuit de développement SpartanIII

Formation VHDL

Introduction

Vahid MEGHDADI

8

Exemple Une carte polyvalente et reconfigurable Extension JTAG

Formation VHDL

Introduction

Vahid MEGHDADI

9

Logique (FPGA) ou software (µP et DSP) ?

• Vitesse

• Possibilité de parallélisme (calcul dans l’espace ou dans le temps)

• Exemple y=Ax²+Bx+C

DSP

FPGA

Formation VHDL

Introduction

Vahid MEGHDADI

10

Intérêt de la logique par rapport à µP

• Jeu d’instruction peut ne pas contenir l’opération voulue • Processeur peut contenir des circuits qui ne servent à rien dans

notre application (Par exemple URAT)

• Processeur a besoin de la mémoire pour garder le programme

et les valeurs intermédiaires

• Le nombre de bits pour présenter les données peut être trop ou

peu

• Parallélisme très limité par software

Formation VHDL

Introduction

Vahid MEGHDADI

11

Fonction combinatoires Utilisation d’un PLA (Programmable Logic Array) ou PLD

I

0

I1

I

2

PLA1 PLA2 PLA3

O0 O1 O2 O3

Le circuit peut être reprogrammé sans changer le circuit imprimé à condition de n’utiliser qu’un seul PLA ou prévoir l’interconnexion entre PLA indépendant des modifs éventuelles!

Formation VHDL

Introduction

Vahid MEGHDADI

12

PLA

Formation VHDL

Vahid MEGHDADI

13

CPLD Complex Programmable Logic Device Les CPLD contiennent un grand nombre de PLD interconnectés par des liaisons programmables

I/O I/O PLD PLD I/O PLD

Formation VHDL

I/O PLD Global Interconnection Matrix PLD

Introduction

Vahid MEGHDADI

I/O

PLD I/O

14

Architecture des FPGA Feild Programmable Gate Array

CLB CLB CLB CLB CLB CLB CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB= Configurable Logic Block

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

Formation VHDL

Introduction

Vahid MEGHDADI

15

FPGA architecture interne

BLE= Basic Logic Element

Inputs K LUT Clock D FF Out

Programmable IO

Programmable Logic (CLB)

BLE #1 I I Inputs BLE #N

N N Outputs

Clock

Programmable Routing

Formation VHDL

Introduction

Vahid MEGHDADI

16

Architecture de CLB

Inputs

Look-Up Table (LUT)

Out = f (in0, in1, in2, in3)

Out

State Clock Enable

Formation VHDL

Introduction

Vahid MEGHDADI

17

FPGA Xilinx

Plusieurs familles de FPGA •Série 4000 •Spartan •Spartan (II, IIE et III) •Virtex (II, pro, IV et V)

Formation VHDL

Introduction

Vahid MEGHDADI

18

Spartan

Le nombre de broches I/O, le nombre de CLB différentie les FPGA de la famille.

Formation VHDL

Introduction

Vahid MEGHDADI

19

Spartan II Ajout de la RAM

Formation VHDL

Introduction

Vahid MEGHDADI

20

La famille Spartan II

...

Télécharger au format  txt (6.4 Kb)   pdf (83.1 Kb)   docx (9.9 Kb)  
Voir 2 pages de plus »
Uniquement disponible sur DissertationsEnLigne.com